Personal View site logo
Semicinductors industry has serious issues, stop will follow
  • 562 Replies sorted by
  • Visual representation

    image

    sa14024.jpg
    795 x 520 - 90K
  • Big money that cause inflation found their way into semiconductors

    Pure-play foundries including TSMC, UMC and VIS have raised their 8-inch wafer fabrication quotes by 10-20% recently to reflect their tight capacities, according to industry sources.

    Another reason is huge wall we'll hit very soon. So companies want to pile more cash to try to hold on last possible processes longer.

  • Companies need goverments money now

    image

    sa14008.jpg
    714 x 609 - 111K
  • AMD and TSMC

    Over the past year, AMD's investment volume at TSMC has increased significantly. In the beginning of 2019, the monthly production volume was only about 2,000 to 3,000, which is not even the top five customers. The average monthly production volume this year has increased to 7,500 to 8,000. The number of films will grow to above 16,000 next year. It is estimated that the number of films produced in the second quarter of next year will surpass Apple, becoming TSMC’s largest customer for the first time, accounting for more than 20% of revenue.

    According to AMD plan, its latest fourth-generation EPYC server processor "Genoa" (referring to the product code) is determined to be unveiled next year. It is a Zen 4 architecture and will be produced in 5nm. The supply chain said that the new product was originally planned to be put into production in the second half of 2021. In order to advance TSMC's 5nm production capacity, it may conduct risky trial production in the fourth quarter of this year and launch mass production in the first half of next year.

    As for the mass production of the new Ryzen 5000 series processors in 2021 and the accelerated processor Ryzen 5000 APU series, they will still be produced on a 7nm process. According to the progress, it is estimated that 5 and 7 nanometers will enter the peak of mass production in the second and third quarters of next year.

    AMD product line in 2022 will fully adopt the 5-nanometer process.

    https://money.udn.com/money/story/5612/4750592

    Something is up with TSMC 5nm and also 7nm+ processes, as they significantly lag compared to their previous plans. Also AMD changed Zen 3 slides to no longer feature 7nm+.

    In fact due to TSMC issues AMD can enter hard period if Zen3 will be only 3-5% better than previous generation as invester and management want to significantly increas processor sales while not being able to keep same progress in performance.

  • AMD Q2 2020

    • Income increased 26% YoY
    • Profits soared 4.5x times YoY
    • Rise is mostly due to extreme margins on Rizen 2 based chips (Epyc and Threadripper also)
  • Intel desktop retail CPUs sales staggering fall

    YoY fall reaches 70% in EU, around 55% in US and up to 80% in some Asian countries.

    Long OEM contracts keep company afloat, but they will start to expire this fall.

    After announcement about 12 months delay industry insiders started to talk that no 7nm will be used for desktop and server high performance CPUs till 2024 at least.

    Huge issue of Intel 7nm is the heat dissipation, transistors leakage and EUV steps.

    To lower leakage on smaller transistor they need to move from FinFET but it instantly makes number of defects around 10x from previous, as it is new approach and much more complex.

    This is also reason why TSMC management instead fakes the new silicon processes keeping simple and large transistors and paying more to PR and press coverage.

  • Intel 7nm

    The company’s 7nm-based CPU product timing is shifting approximately six months relative to prior expectations. The primary driver is the yield of Intel’s 7nm process, which based on recent data, is now trending approximately twelve months behind the company’s internal target.

    And counting.

    7nm can be final process that will ever be developed by Intel.

  • Electricity issues

    Data centers are on course to consume 15% of the world’s electricity by 2025, according to Applied Materials Inc., the world’s largest maker of chip equipment. Those giant warehouses of computers currently suck in about 2%, the company said.

    “AI has the potential to change everything,” said Applied Materials Chief Executive Officer Gary Dickerson speaking in a prerecorded remote keynote for the industry’s Semicon West conference. “But AI has an Achilles’ heel that -- unless addressed -- will prevent it from reaching its true potential. That Achilles heel is power consumption. Training neural networks is incredibly energy-intensive when done with the technology that’s available today.”

    Idea is to move to some custom silicon, but issue is that such investment is very risky as new or wastly improved existing approach will require dumping all and everything of existing rack mounted hardware.

  • On July 20, according to foreign media reports, TSMC will also launch a 4nm process between 5nm and 3nm process .

    According to the report, TSMC mentioned the 4nm process in the second quarter conference call disclosed on its official website and stated that it will start the 4nm process as an extension of the 5nm process. In addition, the 4nm process will be compatible with the design rules of the 5nm process, and it has a cost-effective advantage over the 5nm process. It is aimed at the next wave of 5nm products and plans to mass-produce in 2022.

    It is reported that TSMC has stated that 3nm will continue to use FinEFT technology. The main consideration is that customers' designs on the 5nm process can also be used in the 3nm process. There is no need to face the problem of redesigning products. TSMC can maintain its own cost competitiveness and gain more Customer orders.

  • It remains to be seen whether unsatisfactory yield rates at Samsung Electronics' 5nm EUV process may affect the launch of Qualcomm's next-generation flagship 5G mobile chip series, according to industry sources.

    Nice.

  • With U.S. government restrictions on China's Huawei, SMIC will take over production of Kirin modem chips at 14nm from TSMC at 7nm.

    SMIC, the fifth-largest pure-play foundry, will be able to produce chips at 7nm even without EUV lithography, as early as next year.

    SMIC's n+1 process already offers performance at 14nm close to TSMC's at 7nm.

    Concerns about sanctions against SMIC will not materialize this year amid concerns of further negative financial impact on U.S. companies following the first round of sanctions.

    https://seekingalpha.com/article/4359158-china-who-needs-tsmc-when-smic

  • TSMC 3nm

    • 15% better transistor density compared to 5nm (instead of 66%)
    • up to 10-15% performance improvement with same energy consumption
    • or 20-25% less energy consumptionwith similar performance
    • TSMC will still use completely unsuitable for such norms FinFET approach

    TSMC turned into marketing monster making one fake new process after another.

    They have big money and lead for now, but this train can go into deep trench.

  • TSMC just needs money

    The Japanese government is considering having Taiwan Semiconductor Manufacturing Co. ally with a local maker or research institution.

    The government could offer funding support totaling several 100 billion yen provided the overseas maker initated a joint development project with local partnerships, the report said, potentially having factories built in Japan.

    As smartphone buyes do not longer want ot buy overpriced junk TSMC now need direct interference of leading capitalist states.

    Expect TSMC to become one of the main battelefields between US and China, as former now prepares retaliation strike that can even stop all chips production. Stay tuned.

  • And changes are fully opposite to TSMC management predictions

    image

    sa13779.jpg
    669 x 519 - 37K
  • TSMC expects to see 5nm process technology account for about 8% of its total wafer revenue in 2020, compared with the about 10% estimated previously. The foundry also confirmed it has stopped taking new orders from Huawei.

    If not ban on Huawei to stop 7nm EUV non working process as well as allow around double faulty 5nm dies (as process is still bad even with Apple moving production much further!) - it could be big scandal.

  • Battle for ASML secrets and people will be epyc

    Major thing that China needs to destroy any US competition is access to knowledge of ASML and their close suppliers.

    It will be huge battle of special forces, lot of special agreements with people travelling on private jets, secret kidnappings, cyber attacks and much more.

  • China’s biggest chipmaker SMIC is set to follow its staggering $6.6 billion share sale with its Shanghai market debut on Thursday.

    https://www.reuters.com/article/us-smic-china-ipo-analysis/smics-shanghai-listing-tests-if-money-alone-can-bring-chip-dominance-to-china-idUSKCN24G0PO

    It is big money shortages and we can observe how all leading manufacturers started to get financing directly from states.

  • On EUV

    To accelerate the development of EUV lithography technology, ASML invited Intel, Samsung and TSMC to become its shareholders in 2012, raising sufficient funds to support its development of ever-more advanced EUV lithography equipment compatible with both 12-inch and 18-inch wafers.

    ASML is now the world's only supplier of EUV scanners, which entail up to US$100 million in unit production cost, and Gudeng is the only Taiwanese maker as well as one of the world's only two ASML-validated suppliers of EUV reticle inner pods for such scanners, with each pod needed to protect, transmit and store each EUV reticle mask.

    We have absolute monopoly now that is directly controlled by US and partly by EU.

  • 2-3nm TSMC process only will match Intel 7nm

    image

    sa13758.jpg
    610 x 296 - 39K
  • Why TSMC so wanted to drop Huawei

    image

    Actually N7FF+ has big issues and new Huawei chip is the only one using it.

    sa13757.jpg
    637 x 349 - 38K
  • Dangerous sign

    image

    sa13717.jpg
    784 x 437 - 45K
  • Chinese give more money to companies related to chips production

    image

    sa13680.jpg
    800 x 794 - 100K
  • The board of directors of Taiwan Semiconductor Manufacturing Company (TSMC) has approved plans to issue NT$13.9 billion (US$471.7 million) in unsecured bonds to help purchase new equipment and facilities for capacity expansion, according to the world's largest dedicated semiconductor foundry.

    TSMC already sold NT$60 billion in unsecured bonds in the first half of 2020. Proceeds from the bond sale are for the foundry's 5nm process capacity expansion at its new Fab 18 in the Southern Taiwan Science Park (STSP).

    As firms no longer sell enough top smartphones TSMC need to ask for money, including tens of billions of free US money they wil get for banning Huawei (and China will never forget this, expect few extreme strikes aimed precisely at TSMC in next few months).

  • In 2019, demand from semiconductor companies in Taiwan including TSMC, UMC, VIS, Powerchip, Macronix, Winbond, Micron, ASE/SPIL and Powertech for materials reached an amount of NT$330.6 billion (US$11.22 billion), accounting for 22% of the worldwide value while that for equipment was NT$513 billion, or a global share of 28%.

    Semiconductor companies plan to expand their capacities, their investments in the next couple of years will reach as high as NT$2.72 trillion.

    Taiwan is very worried, as its position is very bad in the conflict between US and China, as both of countries can wreck all the taiwan economy to ashes in few months.

    Also leakage of top qualified engineers is staggering, for example Huawei now hires any silicon related engineers for 4-5x of their present taiwan salary.

  • Samsung Electronics has revised its foundry process roadmap, skipping 4nm and jumping directly to 3nm from 5nm, according to industry sources.

    Big issues at Samsung.

    Also note that despite loud claims Samsung still do not make any significant amoung of complex chips using their 8nm process. Rumors are that both Apple and Nvidia tried to use it but failed.